blob: 911081b60ca4f396060ab41067bdc0d993b5568b [file] [log] [blame]
var<private> v : i32;
@stage(compute) @workgroup_size(1)
fn main() {
_ = v;
}