blob: bc59e1ba7aa47746b9b92099c8606f700c875855 [file] [log] [blame]
[[block]]
struct S {
i : i32;
};
[[binding(0), group(0)]] var<uniform> u : S;
[[stage(compute), workgroup_size(1)]]
fn main() {
_ = u;
_ = u.i;
}