blob: 51af3512e8ad39e56d61d6d249de254c090bf6fe [file] [log] [blame]
; SPIR-V
; Version: 1.3
; Generator: Google Tint Compiler; 0
; Bound: 40
; Schema: 0
OpCapability Shader
OpMemoryModel Logical GLSL450
OpEntryPoint GLCompute %f "f"
OpExecutionMode %f LocalSize 1 1 1
OpName %m_block_std140 "m_block_std140"
OpMemberName %m_block_std140 0 "inner_0"
OpMemberName %m_block_std140 1 "inner_1"
OpName %m "m"
OpName %counter "counter"
OpName %i "i"
OpName %load_m_inner "load_m_inner"
OpName %f "f"
OpDecorate %m_block_std140 Block
OpMemberDecorate %m_block_std140 0 Offset 0
OpMemberDecorate %m_block_std140 1 Offset 8
OpDecorate %m NonWritable
OpDecorate %m DescriptorSet 0
OpDecorate %m Binding 0
%float = OpTypeFloat 32
%v2float = OpTypeVector %float 2
%m_block_std140 = OpTypeStruct %v2float %v2float
%_ptr_Uniform_m_block_std140 = OpTypePointer Uniform %m_block_std140
%m = OpVariable %_ptr_Uniform_m_block_std140 Uniform
%int = OpTypeInt 32 1
%7 = OpConstantNull %int
%_ptr_Private_int = OpTypePointer Private %int
%counter = OpVariable %_ptr_Private_int Private %7
%10 = OpTypeFunction %int
%int_1 = OpConstant %int 1
%mat2v2float = OpTypeMatrix %v2float 2
%17 = OpTypeFunction %mat2v2float
%uint = OpTypeInt 32 0
%uint_0 = OpConstant %uint 0
%_ptr_Uniform_v2float = OpTypePointer Uniform %v2float
%uint_1 = OpConstant %uint 1
%void = OpTypeVoid
%33 = OpTypeFunction %void
%i = OpFunction %int None %10
%12 = OpLabel
%13 = OpLoad %int %counter
%15 = OpIAdd %int %13 %int_1
OpStore %counter %15
%16 = OpLoad %int %counter
OpReturnValue %16
OpFunctionEnd
%load_m_inner = OpFunction %mat2v2float None %17
%20 = OpLabel
%26 = OpAccessChain %_ptr_Uniform_v2float %m %uint_0
%27 = OpLoad %v2float %26
%30 = OpAccessChain %_ptr_Uniform_v2float %m %uint_1
%31 = OpLoad %v2float %30
%32 = OpCompositeConstruct %mat2v2float %27 %31
OpReturnValue %32
OpFunctionEnd
%f = OpFunction %void None %33
%36 = OpLabel
%37 = OpFunctionCall %mat2v2float %load_m_inner
%38 = OpAccessChain %_ptr_Uniform_v2float %m %uint_1
%39 = OpLoad %v2float %38
OpReturn
OpFunctionEnd