blob: 8414d82aa8f7a4a330456a247a20b2643b615f70 [file] [log] [blame]
#version 310 es
layout(local_size_x = 1, local_size_y = 1, local_size_z = 1) in;
void unused_entry_point() {
return;
}
uvec4 v = uvec4(0u, 1u, 2u, 3u);